tag:blogger.com,1999:blog-8873211925427617432024-03-20T19:24:42.927-07:00Basic VLSIThis blog contains my collection of links related to digital design, puzzles, scripting and interview questions.vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.comBlogger25125tag:blogger.com,1999:blog-887321192542761743.post-51604353424828666812014-08-13T09:55:00.002-07:002014-08-13T09:55:18.949-07:00sv keywords<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">nand</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">negedge</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">new</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">nexttime</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">nmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">nor</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">noshowcancelled</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">not</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">notif0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">notif1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">null</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">or</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">output</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">package</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">packed</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">parameter</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">posedge</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">primitive</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">priority</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">program</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">property</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">protected</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pull0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pull1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pulldown</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pullup</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pulsestyle_ondetect</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pulsestyle_onevent</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">pure</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rand</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">randc</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">randcase</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">randsequence</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rcmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">real</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">realtime</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">ref</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">reg</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">reject_on</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">release</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">repeat</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">restrict</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">return</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rnmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rpmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rtran</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rtranif0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">rtranif1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">s_always</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">s_eventually</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">s_nexttime</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">s_until</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">s_until_with</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">scalared</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">sequence</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">shortint</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">shortreal</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">showcancelled</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">signed</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">small</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">solve</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">specify</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">specparam</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">static</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">string</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">strong</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">strong0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">strong1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">struct</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">super</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">supply0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">supply1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">sync_accept_on</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">sync_reject_on</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">table</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tagged</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">task</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">this</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">throughout</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">time</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">timeprecision</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">timeunit</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tran</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tranif0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tranif1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tri</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tri0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">tri1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">triand</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">trior</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">trireg</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">type</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">typedef</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">union</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">unique</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">unique0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">unsigned</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">until</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">until_with</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">untyped</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">use</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">uwire</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">var</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">vectored</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">virtual</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">void</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wait</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wait_order</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wand</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">weak</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">weak0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">weak1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">while</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wildcard</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wire</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">with</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">within</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">wor</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">xnor</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">xor</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">accept_on</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">alias</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">always</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">always_comb</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">always_ff</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">always_latch</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">and</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">assert</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">assign</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">assume</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">automatic</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">before</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">begin</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">bind</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">bins</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">binsof</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">bit</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">break</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">buf</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">bufif0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">bufif1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">byte</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">case</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">casex</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">casez</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">cell</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">chandle</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">checker</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">class</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">clocking</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">cmos</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">config</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">const</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">constraint</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">context</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">continue</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">cover</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">covergroup</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">coverpoint</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">cross</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">deassign</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">default</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">defparam</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">design</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">disable</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">dist</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">do</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">edge</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">else</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">end</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endcase</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endchecker</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endclass</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endclocking</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endconfig</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endfunction</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endgenerate</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endgroup</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endinterface</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endmodule</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endpackage</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endprimitive</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endprogram</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endproperty</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endspecify</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endsequence</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endtable</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">endtask</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">enum</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">event</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">eventually</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">expect</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">export</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">extends</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">extern</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">final</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">first_match</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">for</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">force</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">foreach</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">forever</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">fork</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">forkjoin</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">function</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">generate</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">genvar</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">global</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">highz0</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">highz1</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">if</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">iff</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">ifnone</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">ignore_bins</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">illegal_bins</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">implies</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">import</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">incdir</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">include</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">initial</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">inout</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">input</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">inside</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">instance</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">int</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">integer</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">interface</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">intersect</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">join</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">join_any</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">join_none</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">large</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">let</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">liblist</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">library</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">local</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">localparam</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">logic</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">longint</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">macromodule</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">matches</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">medium</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">modport</span><br style="color: #222222; font-family: arial; font-size: small;" /><span style="background-color: white; color: #222222; font-family: arial; font-size: x-small;">module</span></div>
vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-86318973235080260302013-03-29T22:04:00.001-07:002013-03-29T22:04:54.488-07:00x propagation<div dir="ltr" style="text-align: left;" trbidi="on">
Very good paper which explains the basic problem related to x propagation (9 pages)<br />
<a href="http://www.synopsys.com.cn/edm/2012_lunwen/3/40.pdf">http://www.synopsys.com.cn/edm/2012_lunwen/3/40.pdf</a><br />
<br />
detailed paper<br />
<a href="http://www.arm.com/files/pdf/Verilog_X_Bugs.pdf">http://www.arm.com/files/pdf/Verilog_X_Bugs.pdf</a> (34 pages)</div>
vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-62154319431568527642012-08-03T01:40:00.002-07:002012-08-03T01:40:31.923-07:00why synchronous ckts?<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: Arial; font-size: x-small;"><span style="font-family: Arial; font-size: x-small;"> Synchronous designs eliminate the problems associated with speed variations
<div align="left">
through different paths of logic. By sampling signals at well-defined</div>
<div align="left">
time intervals, fast paths and slow paths can be handled in a simple manner.</div>
</span></span><b><span style="font-family: Courier; font-size: x-small;"><span style="font-family: Courier; font-size: x-small;"><div align="left">
• </div>
</span></span></b></div>
<span style="font-family: Arial; font-size: x-small;"><span style="font-family: Arial; font-size: x-small;">Synchronous designs work well under variations of temperature, voltage and</span></span><div align="left">
process. This stability is key for high-volume manufacturing.</div>
<b><span style="font-family: Courier; font-size: x-small;"><span style="font-family: Courier; font-size: x-small;"><div align="left">
• </div>
</span></span></b><span style="font-family: Arial; font-size: x-small;"><span style="font-family: Arial; font-size: x-small;">Many designs must be portable—that is, they must be easy to migrate to a</span></span><div align="left">
new and improved technology (say, moving from .6 micron to .35 micron).</div>
<div align="left">
The deterministic behavior of synchronous designs makes them much more</div>
<div align="left">
straightforward to move to a new technology.</div>
<b><span style="font-family: Courier; font-size: x-small;"><span style="font-family: Courier; font-size: x-small;"><div align="left">
• </div>
</span></span></b><span style="font-family: Arial; font-size: x-small;"><span style="font-family: Arial; font-size: x-small;">Interfacing between two blocks of logic is simplified by defining standardized</span></span><div align="left">
synchronous behavior. Asynchronous interfaces demand elaborate handshaking</div>
<div align="left">
or token passing to ensure integrity of information; synchronous</div>
designs with <span style="font-family: Arial; font-size: x-small;"><span style="font-family: Arial; font-size: x-small;">known timing characteristics can guarantee correct reception of</span></span><br />
data.<br />
<br />
<div align="left">
Reference:</div>
<div align="left">
<strong>The Ten Commandments of Excellent Design</strong></div>
<div align="left">
<b><span style="font-family: Arial;">Peter Chambers</span></b></div>
<div align="left">
Engineering Fellow</div>
VLSI Technologyvlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com3tag:blogger.com,1999:blog-887321192542761743.post-80421282781593748362012-06-27T23:07:00.002-07:002012-06-27T23:07:44.084-07:00listing all available perl modules<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "Courier New", Courier, monospace;">find `perl -e ‘print “@INC”‘ ` -name ‘*.pm’</span> <br />
<span style="font-family: "Courier New", Courier, monospace;">find -L `perl -e '{printjoin $/, grep {/[^.]/} @INC}’` -name ‘*pm’</span><br />
<br />
<span style="font-family: "Courier New", Courier, monospace;">Use this command to check wheter the module is installed or not:<br />perl -e ‘use File::Compare; print “ok\n”‘</span></div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com2tag:blogger.com,1999:blog-887321192542761743.post-43996254943197179322012-06-22T04:43:00.001-07:002012-06-22T04:43:57.055-07:00Difference between WAND logc and AND logic<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="separator" style="clear: both; text-align: left;">
Difference between 'wand' and 'and' gates..</div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhi-2e6LqV9bVoQgBJaSk1YfC6Timc_9H9NT1uvloWIi3VpkUigyNJdeJCaRyLvHVSb7-G_M3jyrdNFxj1HT9ar5_4S9zNfjHoZoEwJK3BnG9ZLQ7utkUHybHpo9azkTtsd6lpE4aTrc5c/s1600/Capture.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" rca="true" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhi-2e6LqV9bVoQgBJaSk1YfC6Timc_9H9NT1uvloWIi3VpkUigyNJdeJCaRyLvHVSb7-G_M3jyrdNFxj1HT9ar5_4S9zNfjHoZoEwJK3BnG9ZLQ7utkUHybHpo9azkTtsd6lpE4aTrc5c/s1600/Capture.JPG" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8G4PKDsVrf9IPbZ0UzQ4bTDQFTxQ9uvac8Jka2uQw9WsE582OXrRb68h-xwMNuUMhPCU02lagaG8x1Lf8QXccUgFEFCHBQcXPXzEllK9SRz1i0mxlKTcvcTHy4U7uE8TTbUIYDU1V4-w/s1600/Capture.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" rca="true" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8G4PKDsVrf9IPbZ0UzQ4bTDQFTxQ9uvac8Jka2uQw9WsE582OXrRb68h-xwMNuUMhPCU02lagaG8x1Lf8QXccUgFEFCHBQcXPXzEllK9SRz1i0mxlKTcvcTHy4U7uE8TTbUIYDU1V4-w/s1600/Capture.JPG" /></a></div>
<br /><br /> </div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-57784137473897189932012-05-20T08:12:00.004-07:002012-05-20T08:13:21.195-07:00<div dir="ltr" style="text-align: left;" trbidi="on">
Some Linux commands<br />
<br />
Checking OSname: uname<br />
Checking 64bit or 32bit: getconf LONG_BIT<br />
Processor info: cat /proc/cpuinfo<br />
Shell type: echo $SHELL</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-71728726011612436592012-01-31T00:39:00.000-08:002012-01-31T00:39:02.725-08:00error codes in different simulation tools<div dir="ltr" style="text-align: left;" trbidi="on">
Cadence: nchelp<br />
nchelp [options] tool error<br />
<br />
Mentor: verror <msgNum><br />
<br />
VCS:<br />
<br /></div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-32060269939086443202012-01-20T21:03:00.000-08:002012-01-20T21:03:37.549-08:00Coding guide lines<div dir="ltr" style="text-align: left;" trbidi="on">
<b>Need to finalize this post </b><br />
<br />
<b>General:</b><br />
http://en.wikipedia.org/wiki/Indent_style<br />
http://geosoft.no/development/cppstyle.html<br />
http://www.gnu.org/prep/standards/standards.html<br />
http://www.mono-project.com/Coding_Guidelines<br />
http://net.tutsplus.com/tutorials/html-css-techniques/top-15-best-practices-for-writing-super-readable-code/<br />
<br />
<b>Verilog and System verilog:</b><br />
http://blogs.mentor.com/nosimulation/blog/2009/09/11/sv-coding-guidelines/<br />
http://blogs.mentor.com/verificationhorizons/<br />
sva : http://www.soccentral.com/results.asp?CatID=488&EntryID=21595</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-46390895505088704672012-01-13T04:23:00.000-08:002012-06-27T23:12:10.160-07:00linux file transer<div dir="ltr" style="text-align: left;" trbidi="on">
Command for file transfer<br />
scp -r <source file> <dest_user_name>@<dest_host_name>:<dest_path></div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com10tag:blogger.com,1999:blog-887321192542761743.post-45449773825302083002012-01-03T22:21:00.000-08:002012-01-04T02:55:35.363-08:00GDB<div dir="ltr" style="text-align: left;" trbidi="on">
Good sample example for gdb <a href="http://www.cs.cmu.edu/~gilpin/tutorial/">http://www.cs.cmu.edu/~gilpin/tutorial/</a><br />
<a href="http://www.cs.umd.edu/~srhuang/teaching/cmsc212/gdb-tutorial-handout.pdf">http://www.cs.umd.edu/~srhuang/teaching/cmsc212/gdb-tutorial-handout.pdf</a><br />
gdb cheat sheet <a href="http://users.ece.utexas.edu/~adnan/gdb-refcard.pdf">http://users.ece.utexas.edu/~adnan/gdb-refcard.pdf</a></div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-12481839650637418002011-12-14T00:49:00.001-08:002011-12-14T00:51:36.738-08:00perl debugging links<div dir="ltr" style="text-align: left;" trbidi="on">
<link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_filelist.xml" rel="File-List"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_themedata.thmx" rel="themeData"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_colorschememapping.xml" rel="colorSchemeMapping"></link><style>
<!--
/* Font Definitions */
@font-face
{font-family:"Cambria Math";
panose-1:2 4 5 3 5 4 6 3 2 4;
mso-font-charset:0;
mso-generic-font-family:roman;
mso-font-pitch:variable;
mso-font-signature:-1610611985 1107304683 0 0 415 0;}
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;
mso-font-charset:0;
mso-generic-font-family:swiss;
mso-font-pitch:variable;
mso-font-signature:-520092929 1073786111 9 0 415 0;}
@font-face
{font-family:"\0022serif\0022";
panose-1:0 0 0 0 0 0 0 0 0 0;
mso-font-alt:"Times New Roman";
mso-font-charset:0;
mso-generic-font-family:roman;
mso-font-format:other;
mso-font-pitch:auto;
mso-font-signature:0 0 0 0 0 0;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{mso-style-unhide:no;
mso-style-qformat:yes;
mso-style-parent:"";
margin-top:0in;
margin-right:0in;
margin-bottom:10.0pt;
margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoChpDefault
{mso-style-type:export-only;
mso-default-props:yes;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoPapDefault
{mso-style-type:export-only;
margin-bottom:10.0pt;
line-height:115%;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;
mso-header-margin:.5in;
mso-footer-margin:.5in;
mso-paper-source:0;}
div.WordSection1
{page:WordSection1;}
-->
</style>
<br />
<div class="MsoNormal" style="color: white; line-height: normal;">
<span style="font-family: "",serif,"","serif"; font-size: 9pt;">http://sunsite.ualberta.ca/Documentation/Misc/perl-5.6.1/pod/perldebtut.html</span><span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="color: white; line-height: normal;">
<span style="font-family: "",serif,"","serif"; font-size: 9pt;">http://docstore.mik.ua/orelly/perl/prog3/ch20_01.htm<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: normal;">
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><span style="color: black;">http://www.obsidianrook.com/devnotes/talks/perl_debugger/</span><o:p></o:p></span></div>
</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-67431021009259848842011-12-14T00:32:00.001-08:002011-12-14T00:44:47.364-08:00frequently used awk<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;">To print first column of a file</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">awk < file '{print $1}'</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;">To find the sum of first column elements</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">awk '{total=total+$1} END {print total}' filename</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<br /></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;">To print all lines sorted by taking 3rd column as reference</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">awk '{print $3 $0}' < file | sort</span></b><br />
<br />
<link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_filelist.xml" rel="File-List"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_themedata.thmx" rel="themeData"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_colorschememapping.xml" rel="colorSchemeMapping"></link><!--[if gte mso 9]><xml>
<w:WordDocument>
<w:View>Normal</w:View>
<w:Zoom>0</w:Zoom>
<w:TrackMoves/>
<w:TrackFormatting/>
<w:PunctuationKerning/>
<w:ValidateAgainstSchemas/>
<w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid>
<w:IgnoreMixedContent>false</w:IgnoreMixedContent>
<w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText>
<w:DoNotPromoteQF/>
<w:LidThemeOther>EN-US</w:LidThemeOther>
<w:LidThemeAsian>X-NONE</w:LidThemeAsian>
<w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript>
<w:Compatibility>
<w:BreakWrappedTables/>
<w:SnapToGridInCell/>
<w:WrapTextWithPunct/>
<w:UseAsianBreakRules/>
<w:DontGrowAutofit/>
<w:SplitPgBreakAndParaMark/>
<w:DontVertAlignCellWithSp/>
<w:DontBreakConstrainedForcedTables/>
<w:DontVertAlignInTxbx/>
<w:Word11KerningPairs/>
<w:CachedColBalance/>
</w:Compatibility>
<w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel>
<m:mathPr>
<m:mathFont m:val="Cambria Math"/>
<m:brkBin m:val="before"/>
<m:brkBinSub m:val="--"/>
<m:smallFrac m:val="off"/>
<m:dispDef/>
<m:lMargin m:val="0"/>
<m:rMargin m:val="0"/>
<m:defJc m:val="centerGroup"/>
<m:wrapIndent m:val="1440"/>
<m:intLim m:val="subSup"/>
<m:naryLim m:val="undOvr"/>
</m:mathPr></w:WordDocument>
</xml><![endif]--><!--[if gte mso 9]><xml>
<w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="true"
DefSemiHidden="true" DefQFormat="false" DefPriority="99"
LatentStyleCount="267">
<w:LsdException Locked="false" Priority="0" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Normal"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="heading 1"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 2"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 3"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 4"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 5"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 6"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 7"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 8"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 9"/>
<w:LsdException Locked="false" Priority="39" Name="toc 1"/>
<w:LsdException Locked="false" Priority="39" Name="toc 2"/>
<w:LsdException Locked="false" Priority="39" Name="toc 3"/>
<w:LsdException Locked="false" Priority="39" Name="toc 4"/>
<w:LsdException Locked="false" Priority="39" Name="toc 5"/>
<w:LsdException Locked="false" Priority="39" Name="toc 6"/>
<w:LsdException Locked="false" Priority="39" Name="toc 7"/>
<w:LsdException Locked="false" Priority="39" Name="toc 8"/>
<w:LsdException Locked="false" Priority="39" Name="toc 9"/>
<w:LsdException Locked="false" Priority="35" QFormat="true" Name="caption"/>
<w:LsdException Locked="false" Priority="10" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Title"/>
<w:LsdException Locked="false" Priority="1" Name="Default Paragraph Font"/>
<w:LsdException Locked="false" Priority="11" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtitle"/>
<w:LsdException Locked="false" Priority="22" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Strong"/>
<w:LsdException Locked="false" Priority="20" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Emphasis"/>
<w:LsdException Locked="false" Priority="59" SemiHidden="false"
UnhideWhenUsed="false" Name="Table Grid"/>
<w:LsdException Locked="false" UnhideWhenUsed="false" Name="Placeholder Text"/>
<w:LsdException Locked="false" Priority="1" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="No Spacing"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 1"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 1"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 1"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 1"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 1"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 1"/>
<w:LsdException Locked="false" UnhideWhenUsed="false" Name="Revision"/>
<w:LsdException Locked="false" Priority="34" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="List Paragraph"/>
<w:LsdException Locked="false" Priority="29" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Quote"/>
<w:LsdException Locked="false" Priority="30" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Quote"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 1"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 1"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 1"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 1"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 1"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 1"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 1"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 1"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 2"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 2"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 2"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 2"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 2"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 2"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 2"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 2"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 2"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 2"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 2"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 2"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 2"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 2"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 3"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 3"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 3"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 3"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 3"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 3"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 3"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 3"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 3"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 3"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 3"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 3"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 3"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 3"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 4"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 4"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 4"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 4"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 4"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 4"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 4"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 4"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 4"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 4"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 4"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 4"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 4"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 4"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 5"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 5"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 5"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 5"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 5"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 5"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 5"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 5"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 5"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 5"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 5"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 5"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 5"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 5"/>
<w:LsdException Locked="false" Priority="60" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Shading Accent 6"/>
<w:LsdException Locked="false" Priority="61" SemiHidden="false"
UnhideWhenUsed="false" Name="Light List Accent 6"/>
<w:LsdException Locked="false" Priority="62" SemiHidden="false"
UnhideWhenUsed="false" Name="Light Grid Accent 6"/>
<w:LsdException Locked="false" Priority="63" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 1 Accent 6"/>
<w:LsdException Locked="false" Priority="64" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Shading 2 Accent 6"/>
<w:LsdException Locked="false" Priority="65" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 1 Accent 6"/>
<w:LsdException Locked="false" Priority="66" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium List 2 Accent 6"/>
<w:LsdException Locked="false" Priority="67" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 1 Accent 6"/>
<w:LsdException Locked="false" Priority="68" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 2 Accent 6"/>
<w:LsdException Locked="false" Priority="69" SemiHidden="false"
UnhideWhenUsed="false" Name="Medium Grid 3 Accent 6"/>
<w:LsdException Locked="false" Priority="70" SemiHidden="false"
UnhideWhenUsed="false" Name="Dark List Accent 6"/>
<w:LsdException Locked="false" Priority="71" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Shading Accent 6"/>
<w:LsdException Locked="false" Priority="72" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful List Accent 6"/>
<w:LsdException Locked="false" Priority="73" SemiHidden="false"
UnhideWhenUsed="false" Name="Colorful Grid Accent 6"/>
<w:LsdException Locked="false" Priority="19" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Emphasis"/>
<w:LsdException Locked="false" Priority="21" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Emphasis"/>
<w:LsdException Locked="false" Priority="31" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Subtle Reference"/>
<w:LsdException Locked="false" Priority="32" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Intense Reference"/>
<w:LsdException Locked="false" Priority="33" SemiHidden="false"
UnhideWhenUsed="false" QFormat="true" Name="Book Title"/>
<w:LsdException Locked="false" Priority="37" Name="Bibliography"/>
<w:LsdException Locked="false" Priority="39" QFormat="true" Name="TOC Heading"/>
</w:LatentStyles>
</xml><![endif]--><style>
<!--
/* Font Definitions */
@font-face
{font-family:"Cambria Math";
panose-1:2 4 5 3 5 4 6 3 2 4;
mso-font-charset:0;
mso-generic-font-family:roman;
mso-font-pitch:variable;
mso-font-signature:-1610611985 1107304683 0 0 415 0;}
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;
mso-font-charset:0;
mso-generic-font-family:swiss;
mso-font-pitch:variable;
mso-font-signature:-520092929 1073786111 9 0 415 0;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{mso-style-unhide:no;
mso-style-qformat:yes;
mso-style-parent:"";
margin-top:0in;
margin-right:0in;
margin-bottom:10.0pt;
margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoChpDefault
{mso-style-type:export-only;
mso-default-props:yes;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoPapDefault
{mso-style-type:export-only;
margin-bottom:10.0pt;
line-height:115%;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;
mso-header-margin:.5in;
mso-footer-margin:.5in;
mso-paper-source:0;}
div.WordSection1
{page:WordSection1;}
-->
</style><!--[if gte mso 10]>
<style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-qformat:yes;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin-top:0in;
mso-para-margin-right:0in;
mso-para-margin-bottom:10.0pt;
mso-para-margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
</style>
<![endif]-->
<br />
<div class="MsoNormal" style="line-height: normal;">
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><span style="font-size: small;"><b><span style="color: #3d85c6;">awk fields from two different files</span></b></span><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: normal;">
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><b style="color: #38761d;"><span style="font-size: small;"> pr -m -t -s\<span> </span>file1 file2 | gawk '{print $4,$5,$6,$1}'</span></b><o:p></o:p></span></div>
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;"><br /></span></b></div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-85340044141842177582011-12-14T00:31:00.001-08:002012-07-17T06:13:33.409-07:00frequently used vi<div dir="ltr" style="text-align: left;" trbidi="on">
<b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Frequntly used VI/GVIM commands: </span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span><br />
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #6fa8dc; font-family: "Times New Roman","serif"; font-size: 12pt;">1. Online VI editor</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">: Use this <a href="http://gpl.internetconnection.net/vi/"><span style="color: blue;">online Vi</span></a> editor to practice vi commands.</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #6fa8dc; font-family: "Times New Roman","serif"; font-size: 12pt;">2. Search and replace:</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:%s/searchword/replaceword/</span><span style="color: red; font-family: "Times New Roman","serif"; font-size: 12pt;"> </span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">takes care of one occurence of searchword in a line.</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:%s/searchword/replaceword/g</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"> takes care of all occurences of searchword in a line.</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #6fa8dc; font-family: "Times New Roman","serif"; font-size: 12pt;">3. To delete all lines which contains the text</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"> "word"</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:g/word/d</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:g/word1 word2/d</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">This command tells vi to do a global search for "word word1" and to delete any line containing that text including the space.</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;">4. How to indent multiple lines in Vim</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<ul type="disc">
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Open up a text file in Vim that needs indenting<b>.</b></span></li>
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">On the line that you want to start your indenting block type: '=='(This will indent the first line).</span></li>
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Press the 'v' key to go into visual mode.</span></li>
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Move the cursor down to select the number of lines you want to indent.</span></li>
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">Press '=' to indent the selected cells.</span></li>
<li class="MsoNormal" style="line-height: normal; margin: 0in 0in 10pt;"><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">As you can see that was the last step, and all your selected lines are indented.</span></li>
</ul>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">5.
Using vi, you can issue global commands from command mode. If you are
not sure of the case used, you can issue this command from vi command
mode before you make the global change:</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:set ic</span><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<span style="font-family: "Times New Roman","serif"; font-size: 12pt;">(set ignorecase)</span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">6.</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">:%s/\'.*$//g</span></b><br />
<b><span style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;"><br /></span></b></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span lang="ES" style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></b><span lang="ES" style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span lang="ES" style="color: #6fa8dc; font-family: "Times New Roman","serif"; font-size: 12pt;">7. Macros</span></b><span lang="ES" style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span lang="ES" style="color: #38761d; font-family: "Times New Roman","serif"; font-size: 12pt;">qa /F_POS_LO <enter> ^i // <ESC> q</span></b><span lang="ES" style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;"></span></div>
<div class="MsoNormal" style="line-height: normal; margin: 0in 0in 0pt;">
<b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;">8. To do an operation between two lines</span></b><br />
<b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;"> </span></b><b><span style="font-family: "Times New Roman","serif"; font-size: 12pt;">-------------------------------------------------------------------------------------------</span></b><br />
<link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_filelist.xml" rel="File-List"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_themedata.thmx" rel="themeData"></link><link href="file:///C:%5CUsers%5Csethu%5CAppData%5CLocal%5CTemp%5Cmsohtmlclip1%5C01%5Cclip_colorschememapping.xml" rel="colorSchemeMapping"></link><style>
<!--
/* Font Definitions */
@font-face
{font-family:"Cambria Math";
panose-1:2 4 5 3 5 4 6 3 2 4;
mso-font-charset:0;
mso-generic-font-family:roman;
mso-font-pitch:variable;
mso-font-signature:-1610611985 1107304683 0 0 415 0;}
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;
mso-font-charset:0;
mso-generic-font-family:swiss;
mso-font-pitch:variable;
mso-font-signature:-520092929 1073786111 9 0 415 0;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{mso-style-unhide:no;
mso-style-qformat:yes;
mso-style-parent:"";
margin-top:0in;
margin-right:0in;
margin-bottom:10.0pt;
margin-left:0in;
line-height:115%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri","sans-serif";
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoChpDefault
{mso-style-type:export-only;
mso-default-props:yes;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:Calibri;
mso-fareast-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
.MsoPapDefault
{mso-style-type:export-only;
margin-bottom:10.0pt;
line-height:115%;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;
mso-header-margin:.5in;
mso-footer-margin:.5in;
mso-paper-source:0;}
div.WordSection1
{page:WordSection1;}
-->
</style>
<br />
<div class="MsoNormal" style="line-height: normal;">
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><span style="font-size: small;"><b><span style="color: #3d85c6;">9. vi delete multiline comment</span></b></span><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: normal;">
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><b style="color: #38761d;"><span style="font-size: small;">\/\*[a-z0-9A-Z_; ]*\_[a-zA-Z0-9;_
]*\*\/</span></b></span><br />
<br />
<span style="font-family: "Times New Roman","serif"; font-size: 9pt;"><b style="color: #38761d;"><span style="font-size: small;">:set ic <br />(set ignorecase) </span></b><o:p></o:p></span></div>
<br />revrse a file<br />tac<br />tail -r filename <b><span style="color: #3d85c6; font-family: "Times New Roman","serif"; font-size: 12pt;"><br /></span></b></div>
</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-58782144141802826782011-03-30T05:45:00.000-07:002011-03-30T05:45:50.374-07:00sample verdi argument file<div dir="ltr" style="text-align: left;" trbidi="on">-sv<br />
-2001<br />
-tbbr_debug<br />
+systemverilogext+svh<br />
+incdir+../../../<br />
+incdir+../../../src/<br />
../../../src/ovm_pkg.sv<br />
../../../src/ovm.svh<br />
../utils/utils_pkg.sv<br />
top.sv</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-1608696932032983622011-03-30T02:23:00.000-07:002011-03-30T02:47:27.620-07:00special characters in run scripts<div dir="ltr" style="text-align: left;" trbidi="on">If u create a run script in windows and trying to use it in linux, you may see some weird things happening. Problem can be from the special characters that windows use. There are some solutions for this.<br />
<br />
1. #include <stdio.h><stdio.h><br />
main(){<br />
int i; <br />
while((i=getchar())!=EOF)<br />
if(i=='\t'||i=='\n'||i>=040&&i<=0177) putchar(i); <br />
}<br />
$ cc temp.c (or whatever you call the above)<br />
$ a.out < oldfile > newfile<br />
<br />
2. Do set list in vi and then remove spcl characters</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-84456405572943457582011-03-25T04:51:00.000-07:002011-03-30T01:42:17.395-07:00Beware of waveform viewerToday, I saw a famous waveform viewer displaying the simulation delta cycles in random order. It is true that simulation tool can take any order while executing different always blocks. But the viewer should not show events in its own random order. Viewer should strictly display the event order taken by the simulator. Application engineer's response was "we got a new version of tool.. please buy/use the latest version."vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-84086546264504705082011-03-25T04:47:00.000-07:002011-03-25T04:47:31.134-07:00Controlling event ordering in QuestasimWe can control verilog/sv simulation event ordering by using a switch "-noimmedca" with vsim commad. It can avoid false assertion failures because of a particular event ordering.vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-43882117023008036112011-03-23T21:49:00.000-07:002011-03-25T04:42:41.850-07:00How to view simulation delta cycles in verdi1.'setenv NOVAS_FSDB_ENV_DUMP_SEQ_NUM on' in your runscript before simulation. <br />
2. Tools->Event Sequence in nWave windowvlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-68766697149240928032011-02-28T00:15:00.000-08:002011-02-28T00:15:52.914-08:00QuestasimBasic usage:<br />
vlib work //creates work directory<br />
vlog *.sv //compile<br />
vsim <top_module_name> -do <file.do> -pli <file.so> -sva //run<br />
<br />
Questa sim identifies the system verilog files if<br />
1) any file within design contains .sv or .svh or .svp file extension<br />
2) you use -sv argument to vlog command (all files are sv files irrespective of file extension)<br />
3)File extension settings in modelsim.ini (located in install directory)<br />
";SVFileExtensions = sv svp svh"vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-34715762722058926202011-02-24T19:50:00.000-08:002011-02-26T21:03:37.283-08:00packed and unpacked randc in class<div dir="ltr" style="text-align: left;" trbidi="on">1 .What is the difference between following classes<br />
<br />
<pre name="code" class="c-sharp">
class rc1;
randc bit [3:0] rw;
endclass;
class rc2;
randc bit rw [3:0];
endclass;
class rc3;
randc bit [3:0] rw [3:0];
endclass;
rc1 rc1_h=new;
rc1_h.randomize(); //is successfull and rc1_h.rw has got random value
rc2 rc2_h=new;
rc2_h.randomize(); //is successfull but rc2_h.rw is not getting random value
rc3 rc3_h=new;
rc3_h.randomize(); //is successfull but rc3_h.rw is not getting random value
</pre><br />
2. what is the problem in assigning a packed to unpacked (vice versa)?</div>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com2tag:blogger.com,1999:blog-887321192542761743.post-41238074475269590472011-01-25T23:53:00.001-08:002011-01-25T23:53:58.243-08:00empty postvlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com1tag:blogger.com,1999:blog-887321192542761743.post-36299146548355858992011-01-24T06:55:00.001-08:002011-01-24T06:55:29.789-08:00<a title="View verilog on Scribd" href="http://www.scribd.com/doc/19721503/verilog" style="margin: 12px auto 6px auto; font-family: Helvetica,Arial,Sans-serif; font-style: normal; font-variant: normal; font-weight: normal; font-size: 14px; line-height: normal; font-size-adjust: none; font-stretch: normal; -x-system-font: none; display: block; text-decoration: underline;">verilog</a> <object id="doc_846192772916221" name="doc_846192772916221" height="600" width="100%" type="application/x-shockwave-flash" data="http://d1.scribdassets.com/ScribdViewer.swf" style="outline:none;" > <param name="movie" value="http://d1.scribdassets.com/ScribdViewer.swf"><param name="wmode" value="opaque"><param name="bgcolor" value="#ffffff"><param name="allowFullScreen" value="true"><param name="allowScriptAccess" value="always"><param name="FlashVars" value="document_id=19721503&access_key=key-m4w34fkmptrxmujinj7&page=1&viewMode=list"><embed id="doc_846192772916221" name="doc_846192772916221" src="http://d1.scribdassets.com/ScribdViewer.swf?document_id=19721503&access_key=key-m4w34fkmptrxmujinj7&page=1&viewMode=list" type="application/x-shockwave-flash" allowscriptaccess="always" allowfullscreen="true" height="600" width="100%" wmode="opaque" bgcolor="#ffffff"></embed> </object>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-6587087596138810962011-01-24T06:44:00.001-08:002011-01-24T06:44:36.824-08:00good c/c++ artcleshttp://www.dansaks.com/articles.htm<br />
http://www.eetimes.com/discussion/programming-pointers/4025609/Place-volatile-accuratelyvlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0tag:blogger.com,1999:blog-887321192542761743.post-46362591849890537122010-12-15T00:41:00.000-08:002010-12-17T00:21:56.633-08:00Timing diagram tools<div class="MsoNormal" style="margin: 0in 0in 0pt;"><span style="background-color: black; color: white;">Recently I searched for some timing diagram tools. I found some interesting websites.</span></div><ol><li><div class="MsoNormal" style="margin: 0in 0in 0pt;"><a href="http://www.timingtool.com/tt_lite"><strong><span style="background-color: black; color: white;">Timing Tool</span></strong></a><span style="color: white;"><span style="background-color: black;"><strong>: I</strong>nternet based, free to use timing diagram editor.</span></span></div></li>
<li><div class="MsoNormal" style="margin: 0in 0in 0pt;"><strong><a href="http://www.xfusionsoftware.com/"><span style="background-color: black; color: white;">XFusion Software</span></a><span style="background-color: black; color: white;">: </span></strong></div></li>
<li><div class="MsoNormal" style="margin: 0in 0in 0pt;"><a href="http://www.syncad.com/timing_diagrammer_timing_diagram_editor.htm"><strong><span style="background-color: black; color: white;">Timing Diagrammer Pro</span></strong></a><span style="color: white;"><span style="background-color: black;"><strong>: </strong>This tool is from Synapticad. It has an extra feature to dump verilog code from timing diagram. But the evaluation version has limited features.</span></span></div></li>
<li><span style="background-color: black; color: white;">Some people uses Microsoft Excel for exchanging the timing diagrams</span><span style="background-color: black; color: white;">.</span></li>
<li><a href="http://www.pcserviceselectronics.co.uk/fonts/index.php"><span style="background-color: black; color: white;">Timing Diagram Font</span></a><span style="background-color: black; color: white;"> </span></li>
<li><a href="http://www.timing-diagrams.com/dokuwiki/doku.php"><span style="background-color: black; color: white;">Timing Analyzer</span></a></li>
<li><a href="http://www.timing-diagrams.com/dokuwiki/doku.php?id=app_notes:verilog"><span style="background-color: black; color: white;">Timing Diagram from verilog</span></a><span style="background-color: black; color: white;"> </span></li>
<li><a href="http://www.edaboard.com/ftopic48568.html"><span style="background-color: black; color: white;">Handy Timer</span></a></li>
<li><a href="http://sourceforge.net/projects/timingeditor/files/"><span style="background-color: black; color: white;">Timing Editor</span></a><span style="background-color: black; color: white;"> </span></li>
<li><a href="http://drawtiming.sourceforge.net/index.html"><span style="background-color: black; color: white;">Draw Timing</span></a><span style="background-color: black; color: white;"> </span></li>
</ol>vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com2tag:blogger.com,1999:blog-887321192542761743.post-90509183137873195972010-08-30T05:49:00.000-07:002014-08-14T15:26:52.335-07:00Introduction<div dir="ltr" style="text-align: left;" trbidi="on">
i am..</div>
vlsihttp://www.blogger.com/profile/14547473313168359709noreply@blogger.com0